Verilog、SystemVerilog、SystemCなど、ハードウェア記述言語についてのブログです。
#include
#include "veriuser.h"
void hello() {
io_printf("Hello, World!\n");
}
s_tfcell veriusertfs[] = {
{usertask, 0, 0, 0, hello, 0, "$hello"},
{0}
};
module hello; initial begin $hello; #1 $finish; end endmodule
gcc -c -I<ModelSim directory>/include hello.c
gcc -shared -Bsymbolic -o hello.dll hello.o -L<ModelSim directory>/win32aloem -lmtipli
vlib work
vlog hello.v
vsim -c -pli hello.dll -do "run 1 us" hello
カレンダー
カテゴリー
フリーエリア
最新CM
最新記事
最新TB
プロフィール
ブログ内検索
カウンター
アクセス解析